Intel, is this the launch date of Alder Lake?

Intel, is this the launch date of Alder Lake?

Intel

During the Intel Accelerated webcast, the Santa Clara company shared its product roadmap through 2025 and unveiled a new naming scheme for nodes, as well as talking about its future Alder Lake, Meteor Lake, Sapphire Rapids and Granite Rapids processors. .

Credit: Intel Meteor Lake, which will replace Raptor Lake, will feature a tile design, which will allow Intel to run multiple dies on the same silicon. Like Granite Rapids, Meteor Lake is based on Intel's 7nm node which has been renamed “Intel 4“. However, this does not mean that all tiles are Intel 4 based. One of the advantages of this design is the ability to mix and match different process nodes. Predictably, the chipmaker will rely on its Foveros 3D packaging technology for Meteor Lake.

Intel's presentation revealed that Meteor Lake is equipped with three tiles: a compute die, a SOC-LP die and a GPU die. When it comes to thermal limits, Meteor Lake will run between 5W and 125W. We have seen the 125W rating numerous times in previous generations of Intel desktop processors. So the flagship Meteor Lake chip, which could be the Core i9-14900K, will likely have a TDP of 125W. Meteor Lake will offer a substantial increase in integrated graphics performance, providing 96 to 192 EUs.

Credit: Intel Alder Lake will plug into the new LGA1700 socket, the same one that will also use Raptor Lake. However, Meteor Lake may need a different socket, which in this case would be the LGA1800 socket. We already know that Alder Lake will be a hybrid processor with a mix of high-performance, highly-efficient cores built with Intel's 10nm Enhanced SuperFin, now called "Intel 7". The illustration shows a design with an 8 + 8 configuration, one of the potential ones from Alder Lake. Therefore, the flagship Alder Lake CPU will likely have 16 cores in total, split between eight Golden Cove cores and eight Gracemont cores. However, only the former will enjoy Hyper-Threading.

Further details regarding the new Alder Lake processor series will most likely be revealed at the "Intel InnovatiON" event, which will be held in both San Francisco and in digital version from 27 to 28 October 2021. According to some rumors, moreover, it seems that Intel will use the opportunity to officially anticipate the market debut of its processors, but to find out more we just have to wait patiently a few more months.

On Amazon you will find Lenovo IdeaPad 3 notebook at discounted price!






Intel has a new architecture roadmap and a plan to retake its chipmaking crown in 2025

text, calendar © Illustration by Alex Castro / The Verge

Intel is rethinking how it releases — and brands — its semiconductor innovations, CEO Pat Gelsinger announced today at the company’s Intel Accelerated webcast. The announcement includes the broad strokes of the next half-decade of Intel’s processor roadmap, new chip and packaging technologies, and a promise of an “annual cadence of innovation,” with the ultimate goal of seeing Intel retake its leadership in the processor space by 2025.


CONSTELLATION BRANDS, INC.


Future Intel products (starting as early as its upcoming 12th Gen Alder Lake chips later this year) will no longer use the nanometer-based node nomenclature that both it and the rest of the chipmaking industry has used for years. Instead, Intel is debuting a new naming scheme that it says will provide “a more accurate view of process nodes across the industry” and how Intel’s products fit into that landscape.


Intel rebrands its node naming


How that works in practice is that those new third-generation 10nm chips will be referred to as “Intel 7,” instead of getting some 10nm-based name (like last year’s 10nm SuperFin chips).


At first glance, it sounds a lot like a cheap marketing tactic designed to make Intel’s upcoming 10nm chips look more competitive next to products from AMD, which are already on TSMC’s 7nm node, or Apple’s 5nm M1 chips. And while that’s technically true, it’s not as unfair of a comparison as it necessarily looks. In modern semiconductors, node names don’t actually refer to the size of a transistor on a chip: thanks to advances like 3D packaging technologies and the physical realities of semiconductor design, that hasn’t been the case since 1997 (as noted by ExtremeTech).


And from a technical perspective, Intel’s 10nm chips are broadly on par with “7nm” branded hardware from competitors like TSMC or Samsung, using similar production technologies and offering comparable transistor density. That’s something that translates to commercial hardware, too: we’ve already seen that Intel’s current 10nm chips are still competitive with AMD’s cutting-edge 7nm Ryzen chips, for example.


All that is to say that Intel’s rebranding here isn’t entirely unfair to see, even if it does make it harder to parse when those bigger “node” change advances are happening with the new nomenclature.


Here’s a look at Intel’s new roadmap and what it all actually means.


• Intel 7 is the new name for what would have been Intel’s third-generation 10nm technology and the successor to Intel’s 10nm SuperFin (aka Intel’s second-generation 10nm chips, found most notably in its 11th Gen Tiger Lake chips). Intel says that the new Intel 7 hardware will offer approximately 10 percent to 15 percent improvements in performance-per-watt compared to the previous generation — or, as is always the case, improved power efficiency and battery life should hardware manufacturers prefer to keep performance the same.


The first Intel 7-based products will show up as early as this year, with the already previewed Alder Lake chips coming at the end of 2021 for consumer products, and the upcoming Sapphire Rapids chips in 2022 for data centers.


• Intel 4 is the architecture formally known as Intel’s 7nm process, which Intel infamously was forced to delay out to 2023 last summer following manufacturing issues. Originally planned for 2021, it’s the next major jump in technology for Intel, using EUV (extreme ultraviolet) technology — something already utilized by Samsung and TSMC’s 5nm node products, for comparison. It’ll still use the same broad FinFET transistor architecture that Intel’s been using since 2011. Thanks to all those improvements, Intel 4 is expected to feature a transistor density of about 200-250 million transistors per mm², compared to about 171.30 million transistors per mm² on TSMC’s current 5nm node.


Intel says that Intel 4 will offer an approximately 20 percent jump in performance-per-watt while cutting down on overall area. Production is set for the second half of 2022, with the first Intel 4 products planned for 2023 (Meteor Lake for consumer products, and Granite Rapids for data center).


• Intel 3, set for manufacturing in the second half of 2023, is the new name for what would have been a second-generation 7nm product under Intel’s previous naming scheme. Like Intel 4, it’s still a FinFET product, although Intel says it’ll offer additional optimizations and use of EUV for roughly an 18 percent increase in performance-per-watt compared to Intel 4. No release date or product names for Intel 3 chips have been announced yet, but presumably, they won’t be available until 2024.

  • Intel 20A is the name for the next generation of Intel technologies that, under the old scheme, would have been the architecture following the formerly branded 7nm node. It’s also the most substantial announcement that Intel made today, technologically speaking, one that will see Intel debut its first new transistor architecture since FinFET in 2011, called “RibbonFET.” The new architecture will mark Intel’s first gate-all-around transistor, a fundamentally new transistor technology for the company that promises greater transistor density and smaller sizes. Additionally, 20A will see the introduction of “PowerVia,” a new technology that allows for wafers to be powered from the back of the chip, instead of requiring power to be rounded to the front.
  • The “20A” in the title is meant to evoke the “Ångstrom era” of semiconductor design — an Ångstrom being a unit of measurement smaller than nanometer. (20Å = 2nm, although, like the other rebranded Intel names above, Intel 20A doesn’t refer to a specific measurement on the products themselves.)


    Intel’s 20A isn’t expected to ramp until 2024, and, like Intel 3, it doesn’t have any formally announced release date or products yet.

  • Intel 18A is the farthest in the future piece of Intel’s roadmap and will feature the second generation of Intel’s RibbotFET technology for “another major jump in transistor performance.” Intel says that Intel 18A is in development for “early 2025,” and that it expects this generation of technology to re-establish its semiconductor leadership.
  • In addition to all of its process roadmap news, Intel also announced two major updates to its Foveros chip-stacking packaging technologies (the second-generation of which is set to debut in Intel 4’s Meteor Lake in 2023.) Foveros chip stacking combines several hardware elements into a single die, like Intel’s Lakefield chips, which pile together five CPU cores, an integrated GPU, and DRAM into a compact stack to save internal space compared to a traditional design.


    Foveros Omni will allow for more variety in stacked chips by making it easier to mix-and-match tiles, regardless of their specific size — for example, allowing for a base tile that’s smaller than the top tile in a stack. And Foveros Direct will allow for direct copper-to-copper bonding between components, reducing resistance and decreasing bump pitches. Both of the new Foveros technologies are planned for production in 2023.


    Intel’s new names may help the company recontextualize its current and future products more accurately against its competition, but the fact remains that Intel is behind. Even accepting that the Intel 7 is on par with 7nm products from other foundries, those foundries are already past their 7nm chips and on to 5nm hardware. Which means that the companies that rely on those external foundries — like Apple, AMD, Nvidia, Qualcomm, and virtually every other major tech company — can still get chips that are more advanced than Intel’s best work. Apple’s superlative M1 Macs, for example, already use 5nm chips from TSMC — and handily outpace Intel’s comparable products. AMD is rumored to be working on 5nm Zen 4 processors for as early as 2022, too, which could offer similarly concerning competition for Intel from its already encroaching competitor.


    Even with the ambitious, annual cadence for its roadmap, Intel is playing from behind; it doesn’t expect to fully catch up to the rest of the industry until Intel 20A in 2024. And it doesn’t expect to reclaim leadership in the semiconductor business until 2025 with Intel 18A. And all that assumes that Intel doesn’t hit any more delays or manufacturing snags like the ones that held up both its 10nm and 7nm processes (which arguably put the company in its current situation in the first place).


    After years of setbacks, though, it’s clear that the revitalized Intel isn’t going down without a fight. But the next few years will see whether its efforts are enough.